• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 126건

ripple carry adder 방식으로 설계하면 carry는 첫 번째 자릿수에서부터 32까지 올라가며 계산이 되어야한다. 이 과정에서 carry는 매우 오랜 시간이 걸려 32번째 FA에 입력되고 다른 입력 값(x, y)은 정상속도로 입력되므로 계산에 오류가 생길 것이다.
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
ripple 전압)을 ±5%의 오차를 가지도록 하라고 하였으므로 정류기 해석을 통하여 C값을 구하여 회로를 설계에 캐패시터 값을 구한 뒤 설계를 하여야 한다. 인가 교류 전압을 직류 전압으로 바꾸어 주기위한 것이 캐패시터의 역할이다. ● 구 현 :
  • 페이지 41페이지
  • 가격 3,000원
  • 등록일 2011.06.10
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ripple) 필터 통과대역의 S21이 평평하지 않고 파도처럼 요동치는 현상을 나타낸 것. Skirt 특성을 좋게 만들면 ripple 현상이 심해져서 통과신호가 불안정해진다. ripple 값은 보통 통과대역에서 변동이 발생한 최대, 최저점간의 차이를 dB로 나타낸
  • 페이지 9페이지
  • 가격 13,860원
  • 등록일 2012.11.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ripple passband 각각의 parameter들은 위의 매트랩을 이용하여 구하였다. j Jj,j+1Zo (Z0e)j,j+1 (Z0o)j,j+1 0 0.139 59.960 41.067 1 0.041 51.426 45.406 2 0.036 49.372 47.182 < Circuit design parameters > j Wj(mm) Sj(mm) (εre)j (εro)j lj 1 & 6 0.995 0.463 3.147 3.331 17.987 2 & 5 1.037 1.367 3.082
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2008.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
정한 관계는 일반적으로 주파수 특성에서의 ripple은 IDT 라인이 얼마나 정확한 모습을 유지한 상태에서 작동하느냐에 달려있다는 사실에 비추어 생각해 볼 수 있다. 실제 SAW filter 제조 공정 중 Ti의 두께에 비례하여 IDT 라인의 에칭이 보다 정확
  • 페이지 10페이지
  • 가격 1,300원
  • 등록일 2002.03.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
우 상업용 필터들은 Chevyshev type으로 만들어진다. 상업적 이동통신에서 는 잘게 주파수를 나누어 써야 하는데, 통과대역에 다소 ripple이 있더라도 대역구분이 명 확한 필터를 더 선호하기 때문이다. 대신, 허용 가능한 ripple은 어디까지다 라고
  • 페이지 6페이지
  • 가격 3,000원
  • 등록일 2010.09.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험 3. 평활 회로 및 Voltage Doubler 1. 실험의 목적 평활 회로를 이해하고 평활회로의 소자가 출력 전류 전압과 맥동(ripple)성분에 미치는 영향을 조사한다. 2. 실험 예비보고 사항 1)평활 회로의 목적 및 종류, 각각의 특성에 대해 조사하라. ·평
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2010.03.09
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
됨을 알 수 있다. Johnson Counter에서 MOD수는 Flip-Frop 개수의 두배와 같다. 1. 비 동기 카운터 ① 3-bit MOD-8 ripple conuter(UP) ② MOD-5 ripple conuter(UP) ③ MOD-8 ripple conuter(DOWN) 2. 동기 카운터 3. Shift Register Counter ① Ring Counter ② Johnson Counter
  • 페이지 3페이지
  • 가격 800원
  • 등록일 2008.11.20
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
ripple을 관찰할 수 있게 하여 그 파형을 측정하고 ripple voltage 값을 측정하여 표 1의 Vripple란에 기록. (ripple전압이 너무 작아 정지한 화면을 얻기 힘든 경우 대략 그 파형을 그리고 진폭을 측정한다). 부하저항 RL을 떼어낸 OPEN 상태 및 표 1에 표시
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2005.01.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
일때 Count-Down Ripple Counter Output Tabble INPUT OUTPUTS CLK↓의 수 L(d)=8 L(c)=4 L(b)=2 L(a)=1 Decimal Equivalent 0 1 1 1 1 15 1 1 1 1 0 14 2 1 1 0 1 13 3 1 1 0 0 12 4 1 0 1 1 11 5 1 0 1 0 10 6 1 0 0 1 9 7 1 0 0 0 8 8 0 1 1 1 7 9 0 1 1 0 6 10 0 1 0 1 5 11 0 1 0 0 4 12 0 0 1 1 3 13 0 0 1 0 2 14 0 0
  • 페이지 8페이지
  • 가격 1,800원
  • 등록일 2013.03.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top